Imec将0.33NA EUV光刻的单次曝光图形能力推至极限
2021/3/2 1:02:30
——通过验证28nm节距/空间上形貌和电学数据之间的相关性,可以进一步了解随机缺陷率对器件可靠性/良率的影响
在2021 SPIE高级光刻会议上,世界领先的纳米电子和数字技术研究与创新中心imec和全球领先的半导体光刻设备制造商ASML出席了本次会议上共同发表了几篇论文,论文中展示了极紫外光刻机(EUVL)0.33NA NXE:3400的最终单次曝光图形能力。通过工艺优化,可以通过一次曝光对密集型28nm线宽/光栅间距(即特征尺寸)和Inpria金属氧化物抗蚀层进行图案化,与大批量生产有关。这是首次将光学和电子束检测与电气数据相关联,从而进一步了解如何改善随机缺陷率(即断裂和桥接)。此外,优化的抗蚀剂材料有助于NXE:3400光刻机产出尽可能小的特征尺寸(即24nm线宽和28nm接触孔),因此具有高NA值的EUV光刻扫描机可进行早期的材料开发。
EUV光刻技术已经发展到关键点,可以改用EUV多次图形化技术来影印下一代高密度IC,或者进一步推动当今0.33NA全视场扫描光刻机的单次曝光功能。imec高级制图程序主管Kurt Ronse表示,“虽然多次图形化技术可提供更宽的周期(pitch),单次图形化可带来巨大的成本优势和更简单的工艺方案。Imec和ASML已验证了单次曝光图形化工艺后28nm pitch的线条型周期性光栅结构,它对应于5nm工艺的后道工序(BEOL)关键金属层,使得NXE:3400扫描式光刻机非常接近其批量生产的分辨率极限值。”这个结论是通过使用Inpria金属氧化物(MOx)抗蚀层获得的。
为了增加对随机图形化故障的了解,将通过扫描电子显微镜、宽带等离子体和电子束技术获得的缺陷检测数据与通过电学测量获得的数据成功地关联起来。电气测试是在大面积的钌金属化蛇形结构上进行的,该结构可以测量电气开路(因此可以测量抗蚀层的电桥),还可以在金属化的前叉和尖端结构上进行电气开路的测量。短路(并因此导致抗蚀剂严重断裂)。除了显示出良好的相关性外,补偿电气测量值还可获得多个过程变化的重要信息,有助于缓解随机影印故障(论文编号n° 11609-26; 11611-21)。
0.33NA EUV光刻技术可扩展至28nm pitch的原因是共同优化了图案化工艺的各种因素,包括掩模板、照明装置,金属氧化物抗蚀层和刻蚀工艺。例如,使用亮场掩膜色调和控制镜头畸变的优势很明显,可大大改善获得更小pitch和关键尺寸结构的可行性(论文编号n° 11609-27;11609-29)。
除了突破单次曝光EUV光刻技术进行大批量生产的界限外,imec和ASML还促使0.33NA NXE:3400达到极致的分辨率,目的是将它用作高数值孔径 EUVL的早期材料开发平台。imec高级图案化工艺和材料副总Steven Scheer表示,“Imec和ASML最近还演示了该设备影印24nm pitch光栅结构和28nm pitch接触孔的能力。后者通过优化光瞳和成像条件以及使用线条型周期性光栅结构来进行两次曝光,光源的辐照能量为45mJ/cm2”。Inpria首席执行官Andrew Grenville说:“可以在非常薄的抗蚀层上成功地进行图案转移,而这种抗蚀剂与高数值孔径的EUV息息相关。” Scheer补充说,“这将为imec图案生态系统提供开发抗蚀剂、计量和蚀刻工艺的机会,以加快推出下一代EUVL系统(即高NA EXE:5000)。” 这些进展将对imec阿秒分析和干涉光刻实验室(AttoLab)的学习成果形成补充,该实验室有望提供高NA抗蚀剂的成像能力,以实现8nm pitch的单次成像分辨率。”
Imec Pushes Single-Exposure Patterning Capability of 0.33NA EUVL to its Extreme Limits
This week, at the 2021 SPIE Advanced Lithography Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML, the world’s leading manufacturer of semiconductor lithography equipment, present several papers that demonstrate the ultimate single-exposure patterning capability of today’s 0.33NA NXE:3400 extreme ultraviolet lithography (EUVL). Process optimizations have enabled the patterning of dense 28nm pitch line/spaces with an Inpria metal-oxide resist in one single exposure, relevant for high-volume manufacturing. For the first time, optical and e-beam inspections were correlated with electrical data to gain further insights in improving stochastic defectivity – i.e., both breaks and bridges. In addition, source optimizations have led to printing the smallest pitch possible with the current NXE:3400 scanner (i.e., 24nm pitch line/spaces and 28nm pitch contact holes), allowing for early material development required for high-NA EUV lithography scanners.
Extreme ultraviolet lithography has reached a critical decision point where one can move to EUV multi-patterning for printing the densest features of the next generation ICs or push further the single print capability on today’s 0.33NA full field scanners. “While multi-patterning techniques would offer more relaxed pitches, single patterning enables a tremendous cost advantage and simpler process schemes”, says Kurt Ronse, advanced patterning program director at imec. “Imec and ASML have demonstrated 28nm pitch single-exposure patterning readiness for line/spaces, which corresponds to critical back-end-of-line metal layers of a 5nm technology node. This brings the NXE:3400 scanner very close to its resolution limit for high-volume manufacturing.” The results were obtained using Inpria’s metal-oxide (MOx) resist process.
To increase learnings on stochastic patterning failures, defectivity inspection data obtained with scanning electron microscopy, broadband plasma and e-beam technologies were successfully correlated with data obtained from electrical measurements. The electrical tests were carried out on large-area ruthenium-metallized serpentine structures that allowed to measure electrical opens (and hence bridges in the resist), as well as on metallized fork-fork and tip-to-tip structures that allowed to measure electrical shorts (and hence critical breaks in the resist). Besides showing a good correlation, the complementary electrical measurements allow to capture important trends across multiple process changes that can help mitigating stochastic printing failures (papers n° 11609-26; 11611-21).
The extendibility of 0.33NA EUV lithography to pitch 28nm resulted from co-optimizing the various contributors to the patterning process, including mask templates, illumination settings, metal-oxide resist and etch processes. For example, the benefits of using bright field mask tonality and controlled lens aberrations were shown to largely improve the printability at small pitch and critical dimensions (papers n° 11609-27; 11609-29).
Besides pushing the boundaries of single-exposure EUVL for high-volume manufacturing, imec and ASML have brought the 0.33NA NXE:3400 to its extreme resolution with the aim to use it as a platform for early material development for the high-NA EUVL tools. Steven Scheer, VP advanced patterning process and materials at imec: “Imec and ASML recently also showed the tool’s capability of printing 24nm pitch line/spaces and 28nm pitch contact holes – the latter by optimizing pupil and imaging conditions and by using double line/space exposures at a combined dose of 45mJ/cm2”. “Pattern transfer could be successfully demonstrated on very thin resists that are relevant for high-NA EUV”, says Andrew Grenville, CEO of Inpria. “This will provide the imec patterning ecosystem with the opportunity to develop resist, metrology and etch processes to accelerate the introduction of the next-generation EUVL system, i.e., the high-NA EXE:5000.” Scheer added, “These developments will complement the learnings that are currently being obtained from imec’s attosecond analytical and interference lithography lab (the AttoLab), expected to offer a high-NA resist imaging capability to print features down to pitches as small as 8nm.”
声明:本网站部分文章转载自网络,转发仅为更大范围传播。 转载文章版权归原作者所有,如有异议,请联系我们修改或删除。联系邮箱:viviz@actintl.com.hk, 电话:0755-25988573